a:11:{i:0;a:6:{s:3:"cid";s:1:"0";s:4:"name";s:7:"rule_id";s:4:"type";s:7:"INTEGER";s:7:"notnull";s:1:"1";s:10:"dflt_value";N;s:2:"pk";s:1:"1";}i:1;a:6:{s:3:"cid";s:1:"1";s:4:"name";s:10:"rule_owner";s:4:"type";s:12:"varchar(255)";s:7:"notnull";s:1:"1";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:2;a:6:{s:3:"cid";s:1:"2";s:4:"name";s:9:"rule_name";s:4:"type";s:12:"varchar(255)";s:7:"notnull";s:1:"1";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:3;a:6:{s:3:"cid";s:1:"3";s:4:"name";s:11:"rule_action";s:4:"type";s:3:"int";s:7:"notnull";s:1:"1";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:4;a:6:{s:3:"cid";s:1:"4";s:4:"name";s:10:"rule_value";s:4:"type";s:12:"varchar(255)";s:7:"notnull";s:1:"0";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:5;a:6:{s:3:"cid";s:1:"5";s:4:"name";s:10:"rule_flags";s:4:"type";s:3:"int";s:7:"notnull";s:1:"0";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:6;a:6:{s:3:"cid";s:1:"6";s:4:"name";s:15:"rule_conditions";s:4:"type";s:4:"text";s:7:"notnull";s:1:"0";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:7;a:6:{s:3:"cid";s:1:"7";s:4:"name";s:12:"rule_combine";s:4:"type";s:3:"int";s:7:"notnull";s:1:"0";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:8;a:6:{s:3:"cid";s:1:"8";s:4:"name";s:9:"rule_stop";s:4:"type";s:3:"int";s:7:"notnull";s:1:"0";s:10:"dflt_value";N;s:2:"pk";s:1:"0";}i:9;a:6:{s:3:"cid";s:1:"9";s:4:"name";s:11:"rule_active";s:4:"type";s:3:"int";s:7:"notnull";s:1:"1";s:10:"dflt_value";s:1:"1";s:2:"pk";s:1:"0";}i:10;a:6:{s:3:"cid";s:2:"10";s:4:"name";s:10:"rule_order";s:4:"type";s:3:"int";s:7:"notnull";s:1:"1";s:10:"dflt_value";s:1:"0";s:2:"pk";s:1:"0";}}